r90>25=.nt>+>7z5n2k<1561/+;5n{.57u07k{16;5=.nt>+>7z5n2k<15n>29l.05,90>2/3k5n2k7,50{10;<o5>/>?ˆ581:+z6,561/+;

Rozměr: px
Začít zobrazení ze stránky:

Download "r90>25=.nt>+>7z5n2k<1561/+;5n{.57u07k{16;5=.nt>+>7z5n2k<15n>29l.05,90>2/3k5n2k7,50{10;<o5>/>?ˆ581:+z6,561/+;"

Transkript

1 !" &'(' #4$6% 69

2 ()!'*6)* 01/0>7K65756K72o>7z+5n2>/0{1=;5,0t,?I (3k3789d6}9f7fg m>=t15n>3u6~571=>9<;o>5n2k<1 E`[VF_ ZD FVG IXIXacaE`[VF_TDEƒ D FVGXQI IXaca EDTC]V_ ` ]EG 6-I5x93KL5p9:<.3ˆ5moIwI 8,/,o>7,0561=>7>t164+58n~/>?1+5=>5<.8;<o5,90>2/34<o5n2K75>/>?6>/06;<o5,5+9/;5/.5?405nt6N57N=>+56K/t1=3~ 7k3e3g n>29l16;59/0,6>716;5 5cc5,56K/t1=9:;<;<o5,90>2/3zo>58K3>6,5šI5cXcbXaaa5?Î57š106N5+>y64<o5021/06Nn2K76;<o =~/t1=3~57unt47,:;<;<o5859/0,6>716;5šk/0.5=29ozˆ5ot,7u5v I5=;t5œ5J21/06;o>58K3>6;395šIœabXaaR5?I k34116k3601kf30dg m2k<15/15?9=158,?47,058n2,<>7k6; >?7>=1<o5pmqri5st,76;+5<;t1+5/09=160,5?9=156,72o6>90 :1=6>=9<o45=.-.0Kt6;5/u6010.8K0>2575:,8u<15vswxI5w.-.0Kt6;5,9=.>5/u6010.8K0>25+9/;5?405n276N56,72y165, STUFVWGXYWZDE[\]W^_`TWGXaaRbXaca ABCDEFBGH<I5J>+KL5MN+1<OPGQQRRR +,-./012/3456,7,89:;<;5/09=.:6;5>?>2 ) Š6$Š60j&'6@& 62Š Œ Ž !"#6$# %&'6! 6 r90>25=.nt>+>7z5n2k<1561/+;5n{.57u07k{16;5=.nt>+>7z5n2k<15n>29l.05,90>2/3k5n2k7,50{10;<o5>/>?ˆ581:+z6,561/+;

3 Obsah ÚVOD TEORETICKÝ ROZBOR ZVUK TÓNY PIÁNO SYNTÉZA ZVUKU Matematicko-fyzikální model Aditivní přístup Subtraktivní přístup Sampler Další metody STARTER KIT TÓN KLAVÍRU MODEL ADITIVNÍHO SYNTETIZÁTORU Harmonický průběh 262Hz Harmonický průběh s řízenou amplitudou Dvě harmonické složky Deset harmonických složek SYNTETIZÁTOR S PAMĚTÍ SIMULACE SYNTETIZÁTORU TECHNIKA SMYČEK NÁVRH JEDNODUCHÉHO SYNTETIZÁTORU TRANSPOZICE TÓNU PRINCIP FUNKCE ZAŘÍZENÍ NÁROKY KLADENÉ NA SYNTETIZÁTOR REALIZACE SYNTETIZÁTORU POPIS FUNKCE BLOKOVÉ SCHÉMA ŘÍDÍCÍ BLOK Návrh řídícího bloku REALIZACE ŘÍDÍCÍHO BLOKU BLOK CC Návrh bloku CC Realizace bloku CC Výsledek návrhu ŘÍZENÍ PAMĚTI

4 6.5 DA PŘEVODNÍK ZÁVĚR SEZNAM POUŽITÝCH ZDROJŮ SEZNAM OBRÁZKŮ PŘÍLOHY SYNTETIZÁTOR V PROSTŘEDÍ SIMULINK Hlavní funkce Funkce WAV SYNTETIZÁTOR VE VHDL Blok CC časovač Blok CC - komparátor Blok CC - čítač Řídící blok nastavení Řídící blok řízení

5 Úvod Tato diplomová práce se zabývá zpracováním zvuku v obvodech FPGA. Hlavním cílem bylo navrhnout jednoduchý digitální syntetizátor na bázi vývojové desky starter kit s obvodem FPGA Spartan 3 od firmy Xilinx. Než jsem přistoupil k samotnému návrhu v jazyku VHDL, simuloval jsem syntetizátor v systému Matlab. První kapitola diplomové práce představuje teoretický úvod do oblasti podstaty zvuku, tónů a hudebních nástrojů. Následně je představeno několik možných přístupů k řešení audio syntetizátoru. Druhá kapitola obsahuje spektrální analýzu tónu klavíru. Navazující třetí kapitola obsahuje nasimulované výsledky aditivního syntetizátoru v systému Matlab. Snažil jsem se přiblížit tónu klavíru, který jsem analyzoval. Čtvrtá kapitola se zabývá simulací, opět v systému matlab, syntetizátoru založeného na práci se vzorky zvuku uložených v paměti. Pátá kapitola se již zabývá základní principy, na kterých stojí navrhovaný syntetizátor. Obsahuje také výpočty, na kterých syntetizátor stojí. Šestá kapitola představuje výsledky dosažené v systému Xilinx ISE. Sedmá kapitola obsahuje zhodnocení dosažených výsledků této diplomové práce

6 1 Teoretický rozbor 1.1 Zvuk Je mechanické vlnění, které se šíří každým hmotným prostředím. Pokud mluvíme o zvuku, máme obecně na mysli podélné vlnění šířící se vzduchem [6]. Toto vlnění vnímá člověk svým uchem, v oblasti nízkých kmitočtů rovněž povrchem celého těla ve formě vibrací. Člověk vnímá frekvence v rozmezí přibližně 20Hz až do 20kHz (hranice jsou individuální), tento rozsah bývá často označován jako akustické pásmo. Zdrojem zvuku je každé chvějící se těleso. Efektivita tohoto zdroje je závislá na geometrickém tvaru aj. Dobrým zdrojem je třeba reproduktor. Ten představuje elektromechanický měnič, který přeměňuje elektrickou energii přiváděného signálu na energii mechanickou. Tato mechanická energie představuje drobné změny okolního tlaku, šířícího se do prostoru ve formě vlnoploch tj. zvuk. Jiný mechanický zdroj zvuku je např. kytara. Zde se využívá mechanického kmitání struny (i těla samotného nástroje) k vytváření zvuku. Zvuky se obvykle dělí na tóny a hluky. Tóny vznikají při pravidelném, v čase přibližně periodicky probíhajícím pohybu - kmitání. Jako hluky označujeme nepravidelné vlnění vznikající jako složité nepravidelné kmitání těles nebo krátké nepravidelné ruchy (srážka dvou těles, výstřel, elektrický výboj apod.). I hluky jsou využívány v hudbě, neboť k nim patří i zvuky mnoha hudebních nástrojů, především bicích [7]. 1.2 Tóny Jak již bylo řečeno, tón vzniká při pravidelném kmitání prostřednictvím hudebního nástroje na určité frekvenci. Tón představuje základní kámen hudby. Existuje sedm základních tónů c, d, e, f, g, a, h. Požadovaná základní frekvence tónu je dána lazením nástroje. Problematika lazení je velmi obsáhlá a dalece překračuje potřeby této diplomové práce. Je zde souvislost s historickým vývojem hudby, nástrojů i pokrokem v chápání podstaty zvuku [8]. Nejběžnější dnes používané lazení nástrojů je rovnoměrné temperované lazení. Oktáva je rozdělena na 12 intervalů, vznikne tak 7 celých tónů a 5 půltónů. Tón o oktávu výše má frekvenci dvojnásobnou oproti stejnému tónu z nižší oktávy. Každý následující tón má základní frekvenci f n+1 vyšší než tón předchozí f n [9]. Následující vztah (1) je velice důležitý a dá se využit při konstrukci syntetizátoru. f [Hz] (1) 12 n+ 1 = f n

7 Každý tón obsahuje kromě základní i řadu vyšších harmonických složek. Vyšších harmonické vznikají samostatným chvěním poloviny, třetiny a dalších zlomků struny nebo jiného tělesa vydávajícího tón. Právě přítomnost vyšších harmonických složek určuje vlastnosti tónu. Díky nim se liší zvuk jednotlivých hudebních nástrojů. Sudé násobky základního kmitočtu dávají tonu měkkou příjemnou barvu. Oproti tomu liché harmonické působí ostřejším zvukem [10]. 1.3 Piáno Klavír je strunný hudební nástroj, jehož struny jsou rozeznívány pomocí sady kláves. Klavírní mechanika je technicky nejkomplikovanější část nástroje. Skládá se z řady pák, které propojují klávesu s kladívkem a dusítkem strun vis. [11]. Při stisknutí klávesy se ze struny zvedne dusítko, plstěná krátká lišta, která brání struně znít. Jakmile se struna uvolní, dopadne na ni plstěné kladívko a rozezní ji. Po dopadu odskočí, aby strunu netlumilo a mohlo dle potřeby udeřit znovu. Když pustí hráč klávesu, dopadne dusítko zpět na strunu a ta ztichne. Délka tónu může být i po puštění klávesy prodloužena pomocí pedálu, dusítko v tomto případě neutlumí strunu. Obr. 1: Piáno Klaviatura dnešního piána má 88 kláves, což je sedm oktáv a část osmé. Každá oktáva sestává ze sedmy bílých kláves (C-D-E-F-G-A-H) které doplňuje pět černých kláves půltónů. Struny jsou upnuty v masivní konstrukci s rezonanční deskou či křídlem. Vlastnosti ozvučné (rezonanční) desky určují do velké míry kvalitu tónu. Deska může rezonovat mnoha způsoby na různých kmitočtech [12][13]

8 Klavír je bohužel dosti neskladný nástroj díky velkým rozměrům a velké hmotnosti. Výroba klavíru trvá i několik let, z toho plyne značná pořizovací cena. Nový klavír stojí běžně i více než milion korun. Tyto velké nevýhody klasického klavíru vedly ke vzniku jeho elektronické verze. Ta je na rozdíl od svého mechanického předchůdce dostupná široké veřejnosti. Další jejich velká výhoda je fakt, že odpadá nutnost složité údržby (ladění) klavíru. 1.4 Syntéza zvuku Pod pojmem syntéza zvuku rozumíme proces generování zvuku. Zvuk může být vytvářen mechanicky tj. hudebním nástrojem nebo elektronickou formou. V mé práci se budu věnovat elektronickému způsobu syntézy. Syntézou máme, v tomto případě, na mysli generování elektrického signálu nesoucí informaci o průběhu zvuku. Syntetizátory znamenaly revoluční skok ve vývoji hudby. Na konci šedesátých a v průběhu sedmdesátých let se hlavně ve Spojených státech zrodil nový styl hudby. Stejně jako se měnila dynamicky společnost tak se měnila i hudba. Skladby obsahovaly uměle do té doby neznámé syntetizované tóny a zvuky. Skladatelům se tak otevřela nová dimenze prakticky neomezených možností. Za zmínku stojí například dnes již legendární syntetizátor Mogg, na němž hudební inženýři skládali takové skladby jako je např. Superstition od Stevieho Wondera [14][15]. Obr. 2: Syntetizátor Mogg (1964)

9 Výroba zvuku (resp. el. signálu, který nese informaci o zvuku) v syntetizátoru může být založena na různých principech zásadně lišících se svým přístupem k výrobě zvuku Matematicko-fyzikální model Jeden z možných přístupů představuje sestavení matematického modelu hudebního nástroje. Tento model popisuje jeho fyzikální podstatu. Může se jednat o model oscilujícího tělesa např. struny, nebo třeba oscilující sloupec vzduchu ve flétně. První syntetizátor na trhu založený na tomto přístupu byl v roce 1994 Yamaha VL1. [16] Obr. 3: VL Aditivní přístup Jakýkoliv periodický signál je možné rozložit do Fourierovi řady. Tento rozklad je možno použít i na zvukové signály. Co je ale hlavní tak lze i naopak skládáním vhodně řízených harmonických zdrojů znovu vytvořit zvuk (aditivní syntéza). Na tomto principu pracuje i výše zmíněný syntetizátor Mogg. Průběh zvuk klavíru se během znění velmi dynamicky mění. Na počátku dochází při úderu kladívka k rozeznění struny. Jako zdroj zvuku neslouží jen samotná struna, ale rezonuje i samotný klavír. Postupně jednotlivé složky zvuku doznívají a tón končí. Je tedy obtížné přesně nastavit všechny prvky tak aby vytvořily tón s požadovanými vlastnostmi Subtraktivní přístup Je to opačný přístup k syntéze než má aditivní syntetizátor. Principiálně je na začátku signál, který obsahuje několik vyšších harmonických. Může se jednat o signál ve tvaru obdélníku, pily, různé šumy atd. Tento signál je následně selektivně filtrován, přičemž z původního signálu jsou odstraněny nežádoucí složky

10 Tento syntetizátor se dnes obvykle řeší tak že původní signál je přehráván z paměti kde jsou uloženy vzorky různých zvuků. Tato metoda je dnes nejčastěji využívána, neboť výsledek syntézy je lépe kontrolovatelný. [17] Sampler V paměti uvnitř syntetizátoru jsou uloženy vzorky různých zvuků. Může se jednat o jednoduché signály pro následné analogové zpracování, nebo se může jednat o nahrávky reálných hudebních nástrojů. Po stisku klávesy je vzorek načten z paměti, zpracován požadovaným způsobem a přehrán. Nejedná se tedy o syntetizátor v tom nejčistším slova smyslu. Z funkce sampleru plyne jeho největší omezení. Jedná se o digitální systém, který má k dispozici jen omezené množství vzorků ke zpracovávání. Množství dosažitelných výsledků je tedy taktéž omezený. Ale zůstává vždy možnost zařízení doplnit dalšími bloky a rozšířit jeho možnosti požadovaným směrem. Obr. 4: Sampler AKAI MPC Další metody Existují ještě další metody realizace syntetizátoru. Ale jedná se spíše o modifikace některého z výše uvedených principů

11 1.5 Starter Kit Celé zařízení bude realizováno pomocí vývojové desky Spartan-3 FPGA Starter Kit. Ta je vyráběná, stejně jako obvod FPGA Spartan3, firmou Xilinx. Deska obsahuje mnoho integrovaných periferií, které se dají využít právě při vývoji zařízení. Na desce se nalézá [18]: - Xilinx XC3S200 Spartan-3 FPGA (256 pinový FPGA) - Platform flash konfigurační paměť o kapacitě 2Mb - Dvanáct 18Kb RAM (216Kbitů) - Port RS Port PS/2 - JTAG rozhraní - Tři 40-pinové rozšiřující porty - Čtyři sedmisegmentové LED displeje - Sada tlačítek a přepínačů Obr. 5: Spartan-3 FPGA Starter Kit

12 2 Tón klavíru Na digitálním osciloskopu jsem provedl analýzu záznamu tónu klavíru. V průběhu znění tónu dochází k velkým změnám v jeho spektru a v amplitudě jednotlivých složek. Obrázky níže jsou pro tón C4 (nazývané též c nebo také střední C), jehož základní kmitočet je 262Hz. Obr. 6: Klaviatura Obr. 7: Amplituda tónu C4-16 -

13 Obr. 8: FFT, první sekunda znění tónu C4 Obr. 9: FFT, druhá sekunda znění tónu C4 Amplituda každé složky navíc kmitá na vlastním nízkofrekvenčním kmitočtu, řádově jednotky Hz. U prvních harmonických tato změna amplitudy dosahovala i +-10dB. Právě poměry těchto složek je určen charakteristický zvuk hudebního nástroje

14 Obr. 10: FFT, třetí sekunda znění tónu C4 Obr. 11: FFT, čtvrtá sekunda znění tónu C4 Z obrázků 8-11 je dobře vidět že harmonické na vyšší frekvenci doznívají rychleji než ty na nižším. Stejné je to i u samotných strun. Struny hlubokých tónů znějí mnohem déle než struny pro vysoké tóny

15 3 Model aditivního syntetizátoru Pomocí nástroje simulink, jež je součásti systému Matlab, jsem se pokusil namodelovat tón C4 pomocí skládání jednotlivých spektrálních složek, tedy aditivní metodou. Začal jsem od jednoduchého harmonického průběhu a postupně obvod doplňoval o další komponenty Harmonický průběh 262Hz Obr. 12: Schéma, harmonický průběh Obr. 13: Výstupní signál Harmonický průběh s řízenou amplitudou Obr. 14: Schéma zapojení

16 Obr. 15: Amplitudová obálka Blok Repeating sequence interpolated5 řídí amplitudu signálu v čase. Hlasitost zvuku u hudebních nástrojů není během znění tonu konstantní. Na začátku je hlasitost vyšší a postupně tón doznívá. To je nutno pro věrné znění syntetizátoru také simulovat. Strmost změny hlasitosti má také svůj dopad na charakter vnímání zvuku. Navíc všechny tóny u reálných nástrojů neznějí stejně dlouze. Nejvyšší tony např. na klavíru dozní mnohem rychleji než tony nejnižší Dvě harmonické složky Obr. 16: Schéma zapojení Blok Gain (zisk, ale v našem případě menší než 1) zmenšuje amplitudu signálu na polovinu, jinak by ve špičkách došlo k limitaci signálu. Hodnota signálu se musí pro zpracování v následujícím bloku pohybovat od -1 do 1. Obdobný problém bude muset být vyřešen i při práci s vzorky zvuku. Musí být ošetřeno případné přetečení dat při součtu více vzorků

17 Obr. 17: Výstupní signál Deset harmonických složek Obr. 18: Schéma zapojení Blok Repeating sequence interpolated1 řídí amplitudu vyšších harmonických. Díky tomuto bloku odezní vyšší harmonické rychleji než nižší složky. Zdroje 3x0,3 a 7x0,4 modulují na nízkém kmitočtu amplitudově první dvě harmonické složky signálu

18 Obr. 19: Amplitudová obálka Obr. 20: Časový průběh v t=0,5s

19 Obr. 21: Časový průběh v t=3s

20 4 Syntetizátor s pamětí Aditivní syntéza se ukázala vzhledem k nízké kvalitě dosaženým výsledkům na straně jedné a obtížné realizovatelnosti na straně druhé, jako nevýhodné řešení. Práce se vzorky zvuku je mnohem efektivnější způsob realizace digitálního syntetizátoru. Hudebnímu nástroji, syntetizátorům založeném na tomto principu se někdy říká Sampler. Obvod FPGA Spartan je pro úlohu zpracování digitálního audio signálu velmi vhodný. Po stisku klávesy by došlo k načtení příslušného vzorku z paměti, jeho zpracování (např. přičtení k již k přehrávanému tónu) a nakonec převedení pomocí D/A převodníku na analogový signál. Obr. 22: Blokové schéma syntetizátoru s pamětí typu FLASH Výhoda tohoto druhu syntetizátoru je že může simulovat velké množství nástrojů, limitující je pouze velikost paměti. Ale už z principu, na kterém je toto zařízení postavené plyne velké omezení. Syntetizátor přehrává záznam uložený v paměti. Vzorek během přehrávání není možné modifikovat, jako to lze bez problémů činit v reálné době u analogových syntetizátorů. 4.1 Simulace syntetizátoru Matlab podporuje velké množství různých formátů souborů včetně audio formátu wav. Použil jsem tedy Matlab a sestrojil v něm primitivní syntetizátor na bázi přehrávání zvukových vzorků (samplů). Nejdříve jsem pracoval v Simulinku, ale toto prostředí nepodporuje některé užitečné příkazy např. příkaz wavread. Je zde sice jeho obdoba ve formě funkčního bloku, ale nedařilo se mi odladit zde zapojení tak aby pracovalo dle mých představ. Proto jsem pracoval v klasickém prostředí Matlabu. Zdrojové kódy všech částí jsou k nalezení v kapitole přílohy

21 Pomocí příkazu wavread se dá snadno načíst obsah souboru wav, jeho vzorkovací kmitočet, bitovou hloubku a další dodatečné informace. Data se uloží ve formě vektoru o délce odpovídající počtu vzorků. Pokud je záznam stereofonní tak se z vektoru stává matice, která obsahuje dva sloupce, jeden pro každý kanál. Funkční hodnoty jednotlivých vzorků se pohybují v rozmezí (-1; +1). Když už jsou načteny vzorky v paměti ve formě vektorů, není nic snazšího než je řízeně sčítat, posouvat v čase apod. V grafickém prostředí programu Simulink jsem použil definovatelný blok, který se odkazuje na mnou vytvořenou matlabovskou funkci. Zdrojový kód je celého zařízení je v příloze (6.1). Obr. 23: Uživatelské rozhraní syntetizátoru v Simulinku Mnou vytvořený model se ovládá přes Simulink zdroji konstantního signálu. Názvy těchto zdrojů odpovídají názvům not. Hodnota zdroje určuje prodlevu v sekundách od začátku přehrávání celého výsledného datového, kdy se má začít přehrávat daný záznam. Pokud je hodnota nula sampl se přehraje okamžitě po zapnutí. Hodnota menší než nula znamená, že daný sampl nebude přehrán. Výstupní funkce y, vrací akorát hodnotu 1, která signalizuje funkčnost. Nebo se dá využít k vrácení jiné informace např. vzorkovací frekvence apod

22 Obr. 24: Výstupní signál, přehrává se celá oktáva najednou Na obrázku č. 24 je znázorněn průběh výstupních dat. Na ose x je vyneseno číslo vzorku, neboť funkční hodnoty jsou uložené v dlouhém vektoru vzorků odpovídá jedné sekundě záznamu tj. vzorkovací frekvence, se kterou byl tento záznam pořízen je 22050Hz. V tomto případě je všech osm samplu přehráno ve stejný okamžik. Na obrázku je patrné, že dochází díky součtu maxim k překročení specifikovaného rozsahu výstupních hodnot (-1; +1). Další zajímavost je schod, který vzniká na začátku průběhu. Každý mnou použitý sampl v sobě nese malý kladný offset. Tento schodový průběh je vidět i na obr. 25 a 26. Zde nepřichází schůdky najednou, nýbrž postupně. Stejně tak i postupně končí. Přítomnost tohoto offsetu je samozřejmě žádoucí a v použitých vzorcích by měl být odstraněn

23 Obr. 25: Výstupní signál, jednotlivé tóny následují po sobě po 0,1s Obr. 26: Výstupní signál, jednotlivé tóny následují po sobě po 0,5s Na obrázku č. 26 je patrné, že počátky přehrávání jednotlivých záznamů nejsou od sebe stejně vzdálené. To je způsobeno tím že počátky tónů, ve mnou použitých záznamech nebyly, stejně vzdálené od počátku záznamu. Toto nerovnoměrné drobné zpoždění zní poněkud rušivě. Pro správnou funkci by měli být počátky všech záznamu synchronizovany

24 4.2 Technika smyček Jak už bylo řečeno, tón nezní po celou dobu znění stejně hlasitě, stejně tak se mění i jeho charakter. Na počátku v okamžik rozezvučení struny zní tón hlasitěji. Potom se tón ustálí a postupně jeho amplituda klesá. Nakonec když je uvolněna příslušná klávesa tak je tón utlumen úplně (dusítkem v klavíru). Této vlastnosti se dá využít pomocí techniky smyček (looping). Neukládá se celý dlouhý záznam znění jednoho tónu [17]. Uloží se zvlášť záznam náběžné fáze. Ustálený stav je vytvořen opakováním v nekonečné smyčce krátkého samplu. A nakonec je uložen ukončovací sampl, který se přehraje, když je uvolněna příslušná klávesa. Tyto záznamy musí mezi sebe přecházet tak, aby tento přechod byl pokud možno neslyšitelný. Touto konstrukcí dojde k zmenšením nároků na paměť, neboť nejsou použity dlouhé záznamy zvuku. Další výhoda je že uživatel má více pod kontrolu přehrávaný tón. Může se rychle přejít dle potřeby do ukončovací fáze. Nebo naopak je možné nechat znít tón nekonečně dlouho

25 5 Návrh jednoduchého syntetizátoru 5.1 Transpozice tónu Dva po sobě jdoucí tóny se liší od sebe svými základními kmitočty, i kmitočty vyšších 12 harmonických složek, v poměru 2 : 1. Tón o oktávu výše má dvojnásobnou frekvenci [19]. Pokud tedy přehrajeme tón A4 (440Hz) dvojnásobnou rychlostí než byl nahrán, dojde k transpozici všech harmonických složek a vznikne tón A5 (880Hz). Následující rovnice (2) vyjadřuje základní frekvenci tónů. Výsledek je odvozen od tónu, jež má na klaviatuře číslo 49 tedy od tónu A4 [20]. tón n 12 ( n) = 440 ( 2) 49 f [Hz] (2) Tab. 1: Základní frekvence tónů Teoreticky tedy stačí jediný záznam tónu klavíru na vytvoření kompletního syntetizátoru. Nevýhoda této techniky leží především ve faktu, že se transponují nejen složky harmonické, ale i zvuky jako úder kladívka na počátku tónu či jiné rezonanční zvuky samotného klavíru

26 Klíčové pro správnou funkci zařízení je, aby docházelo k frekvenci transpozici tónů dle potřeby a to s dostatečnou přesnosti. Neboť jednotlivé tóny jsou frekvenčně relativně blízko sebe. Posuv těchto kmitočtů by znět posluchači jako rozlaďění nástroje. Lidské vnímání je na toto rozladění velice citlivé. 5.2 Princip funkce zařízení Do paměti typu SD Card uložíme jediný soubor typu wav, který bude obsahovat záznam tónu klavíru např. tón C4 (261,6Hz). Ten bude navzorkován s frekvencí 11025Hz, to při délce tónu cca. 4s dává dohromady kolem vzorků nesoucích informaci o amplitudě tónu. Chceme-li například aby zazněl tón C5 musíme tón C4 přehrát s dvojnásobnou rychlostí. Pak dojde k transpozici z 261,6 na 523,2Hz. Plyne z toho také to, že záznam, který byl uložen s vzorkovacím kmitočtem 11025Hz je nyní přehráván rychlostí vzorků/s. f pož f př = [vzorků/s] (3) f C 4 Kde f př je výsledná rychlost přehrávání [vzorků/s], f pož představuje základní frekvence požadovaného tónu [Hz], f C4 odpovídá základní frekvence tónu C4 v paměti tj. 262,626Hz. Převrácená hodnota f př, je perioda mezi přehráním jednotlivých vzorků (vektorů) = 6 t př [µs] (4) f př

27 Tab. 2: Rychlost a perioda přehrávání tónů Abychom mohly přehrát požadovaný tón, musíme tedy přesně časovat prodlevu t př mezi přehráváním jednotlivých vektorů. Je nutno použít nastavitelný časovací obvod. Ten je realizovatelný pomocí čítače a nastavitelného komparátoru. Čítač bude čítat hodinový signál 50MHz. Poté co načítá požadovaný počet impulzů n 50 (5), dá komparátor impulz na výstup a zároveň dojde k resetu čítače v časovacím bloku. Vzniká tak přesně definovaná prodleva t př, odvozená od hodinového signálu FPGA. f n [-] (5) čit 6 50 = = t př f př Hodnota n 50 (je uvedena decimální i hexadecimální hodnota) je zaokrouhlená na celé číslo a vzniká tady tak rozladění nástroje. Toto rozladění je naštěstí zcela minimální (maximálně 10 ns). To díky vysoké frekvenci, na které za řízení pracuje

28 Tab. 3: Nastavení časovacího bloku Jednotlivé vektory, nesoucí informaci o amplitudě zvuku, jsou uloženy za sebou v souboru wav. Při přehrávání je tedy nutno informaci o číslu vektoru, který je přehráván, uchovávat. S periodou t př se inkrementuje čítač, který ukazuje, prostřednictvím stejnojmenně označeného signálu na obr. 27, na číslo vzorku (vektoru) který je aktuálně přehráván. Toto číslo je předáváno dál do bloku řízení paměti. Tento blok periodicky načítá požadované vzorky z paměti SD. Pokud zní více tónů najednou, dojde k sečtení těchto vzorků a následně převodu DA převodníku. 5.3 Nároky kladené na syntetizátor 1) Schopnost načítat jednotlivé vzorky ze souboru v paměti typu SD Card 2) Tyto vzorky musí jít po sobě v řádném pořadí 3) Rychlost načítání a přehrávání je pro každý tón jiná 4) Tato rychlost musí být přesně řízená v čase 5) Možnost přehrávání více tónů najednou

29 6 Realizace Syntetizátoru 6.1 Popis funkce Obr. 27: Vývojový diagram Po stisknutí klávesy dojde k aktivaci celého systému. Nejdříve se musí nastavit příslušná rychlost časovacího obvodu dle požadovaného tónu vis. t př v tabulce 2. Právě toto nastavení určuje, jaký tón vznikne. S periodou t př předává impulz do čítače, který ukazuje na aktuální pořadí přehrávaného vzorku uvnitř souboru wav v paměti. Při délce záznamu cca. 4s a vzorkovací rychlosti souboru mluvíme o přibližně vzorcích. Čítač s každým příchozím impulzem inkrementuje svůj stav a posune se tak s periodou t př na další vzorek v souboru. Číslo vzorku v pořadí se předá do bloku řízení paměti. Ten ho zpracuje a požádá paměť o zaslání příslušného vzorku. Ten je následně přeposlán do dalšího bloku na další zpracování. Nakonec je vzorek převeden z digitální do analogové formy

30 Dále je nutné zajistit, aby když dojde čítač k poslednímu vzorku souboru, tak aby se celý proces zastavil. Informace o délce souboru je uložená v hlavičce wav a musí být přečtena při inicializaci zařízení. Nebo je možno tuto hodnotu nastavit na pevno v čítači jako pojistku. 6.2 Blokové schéma Vzhledem k požadavku na schopnost zařízení syntetizovat několik tónů na jednou, jsem použil čtyři časovací/čítací jednotky ve schématu na Obr. 28 jednoduše označených jako bloky CC0 až CC3. Každý blok CC (od slovního spojení čítač a časovač) je kontrolován dvojicí vodičů označených jako Start a Stop. Dále jsou bloky spojeny 16 bitovou společnou sběrnicí k bloku řízení. Po této sběrnici je předána informace pro správné nastavení časovače pro příslušný tón při inicializaci bloku CC. Obr. 28: Blokové schéma syntetizátoru Pro zjednodušení návrhu budou signály start a stop také realizovány jako sběrnice, ale ta bude komunikovat kódem 1 z n. Každý blok CC bude tedy sledovat jen příslušný vodič nikoliv celou sběrnici. Takže například blok CC0 sleduje start(0) a stop(0) atd

31 6.1 Řídící blok Návrh řídícího bloku Pozice blok řízení je znázorněn na obr. 28. Na vstupu tohoto bloku je klávesnice. Řídící blok kontroluje zvlášť každý blok CC pomocí separátních signálů označených jako start a stop. Ke všem blokům vede společná sběrnice označená na obr. 28 jako nastavení časovačů. Obr. 29: Blokové schéma řízení Po stisknutí klávesy blok řízení nejdříve pošle na sběrnici nastavení časovačů požadovaný vektor. Tento vektor je číslo n 50 (5) z tabulky č. 3 a určuje prodlevu t př časovacího obvodu v CC. V následujícím taktu blok řízení aktivuje jeden z aktuálně volných bloku CC pomocí signálu start. Daný blok CC si vektor ze sběrnice nastavení časovačů zkopíruje do vnitřní paměti a začne pracovat. Po skončení přehrávání pošle blok CC přes vodič stop zpět řídícímu bloku znamení, že je volný a může být znovu použit. Koncem přehrávání je myšleno, že soubor je přehrán kompletně až do posledního vzorku. Informaci o stavu bloků CC aktivní/volný musí blok řízení uchovávat v paměti. Výstup err může být použit jak signalizace přetížení zařízení, kdy všechny bloky CC jsou použity a dojde k dalšímu požadavku na přehrávání

32 6.2 Realizace řídícího bloku Obr. 30: Schéma řídícího bloku Ve schématu ze systému ISE obr. 30 je dodržena struktura z blokového schématu na obr. 29. Je zde navíc výstup stavout. Ten byl použit v simulaci abychom viděli v jakém stavu je paměť, jež ukazuje na stav bloků CC. Vstup gres je reset který má nastavit tuto paměť do defaultního stavu (0000) po zapnutí zařízení. Obr. 31: Behaviorální simulace řídícího bloku Na obr. 31 je dobře vidět chování obvodu. Červeně jsou zvýrazněné povely z klávesnice a zeleně reakce zařízení na ně. Vstupu klávesnice = 0001 odpovídá tón C4 tj. nastavení časovače = Hodnota souhlasí s hodnotou uvedenou v tabulce č

33 Obr. 32: Podobvod řízení CC Na obr. 32 je dobře vidět jak s příchodem signálu znamení (200ns), který dává požadavek na začátek přehrávání, dává zařízení signálem start (zelený průběh) povel prvnímu bloku CC aby zahájil činnost. Zároveň dojde ke změně obsahu stavové paměti resp. na vývodu stavout. Tato paměť uchovává informaci o stavu bloků CC (světlomodrý průběh). Blok CC0 přešel do aktivního režimu, paměť přešla z 0000 do S příchodem další žádosti o přehrávání (signál znamení 600 ns) dojde k vytvoření povelu start pro blok CC1. Opět dochází ke změně v paměti stavů na 0011, nyní jsou již aktivní dva ze čtyř bloků CC. Tímto způsobem probíhá aktivace i zbylých bloků CC. Když blok CC ukončí svou činnost, pošle pomocí vodiče stop signál paměti stavů. Na obr. 32 je signál stop označen červenou barvou. V průbězích je vidět jak se s příchodem signálu stop mění obsah paměti stavů, indikovaný vývodem stavout

34 6.3 Blok CC Návrh bloku CC Na Obr. 33 je znázorněno, co obsahuje každý blok CC. Modrým obdélníkem zvýrazněna část, představuje časovací obvod. Sestává z šestnáctibitového čítače a šestnáctibitového komparátoru s paměťovým registrem na vstupu označeném jako nastavení časovačů. Obr. 33: Detail jednoho z bloků CC syntetizátoru Druhý čítač ukazuje na vzorek, který je aktuálně přehráván (číslo vzorku ve wav souboru). Inkrementuje se s t př (4). Výstupem je vektor číslo vzorku, který se přává bloku řízení paměti. Další výstup je signál stop. Ten dává znamení řídícímu bloku, že daný blok CC došel na konec souboru wav a je tedy opět volný k další činnosti

35 6.3.2 Realizace bloku CC Obr. 34: Schéma bloku CC Navrhnuté zapojení na obr. 34 v systému ISE se drží struktury z blokového diagramu na obr. 33. Správnost zapojení je možné zkontrolovat pomocí RTL schématu. Na obr. 35 je pohled na celek. Syntetizátor systému ISE správně sestavil obvod ze tří bloku jak bylo navrženo. Obr. 35: RTS schéma bloku CC

36 Obr. 36: RTS časovač Syntetizátor správně rozpoznal, že se jedná o jednoduchý šestnáctibitový čítač se dvěma vstupy reset. Obr. 37: RTS komparátor Syntetizátor správně sestavil obvod dle VHDL kódu. Schéma obsahuje klopný obvod D, komparátor vyšší nebo rovno. Poslední člen provádí logický součet signálů EQ a GT

37 Obr. 38: RTS čítač U tohoto bloku syntetizátor provedl několik změn. Na jednom z bloků přibyl pin. Provedené změny mají zřejmě za cíl optimalizovat zapojení. Syntetizátor správně rozpoznal čítač a detekci konce wav

38 6.3.3 Výsledek návrhu Obr. 39: Behaviorální simulace bloku CC Obvod se chová dle požadavků. Po přiveden impulsu start dojde k uložení hodnoty z vodiče nastav (nastavení časovačů) do vnitřní paměti. Na obr. 39 je to číslo 6 ( ns). Dochází k inkrementaci časovače v CC. Po načítání požadovaných šesti taktů hodinového kmitočtu dochází k inkrementaci čítače v CC. V obr. 39 je graficky naznačeno, že tomu tak skutečně je. V prvním cyklu zařízení čeká šest period na hodnotě vektor = 0, než inkrementuje na vektor = 1. Nuloví vektor byl zároveň použít jako znamení pro následující bloky, že blok CC aktuálně nepracuje. Vzniká tady tedy drobná časová prodleva. Ale tato prodleva, jakožto prodleva ve startu přehrávání tónu, je v řádu 10µs a je tedy zcela zanedbatelná. 6.4 Řízení paměti Jako optimální řešení pro uchování záznamu zvuku byla zvolena paměťová karta typu SD Card. Paměť je typu FLASH. Nejčastěji se s ní lze setkat u digitálních fotoaparátu, či jiných video nebo audio zařízeních

39 Obr. 40: SD Card Asi největší výhoda, z pohledu konstruktéra zařízení, je že karty typu SD mohou komunikovat pomocí sběrnice SPI. Navrhované zařízení pracuje s jednotlivými bajty. Nové vysokokapacitní karty SD umí adresovat pouze bloky o kapacitě 512B. U starších karet je velikost bloku nastavitelná pomocí CSD registru. Tato fixní hodnota je pro navrhovaný syntetizátor dosti nepraktická a je tedy výhodné použít kartu starší verze, které jsou sto adresovat jednotlivé bajty. Komunikace SPI je sériová a je založena na dvouch 8 bitových registrech. Jeden na straně řídícího (master) zařízení a druhý na straně paměti. Každý příkaz má rovněž 8 bitů. Takže jeho odeslání resp. přijmutí trvá 8 hodinových taktů. Standardně SD Card podporuje hodinový kmitočet SPI minimálně 25MHz. 6.5 DA převodník Nejjednodušší je použití externího IO DA převodníku. Možnosti obvodu Spartan 3 jsou ale natolik široké, že může převodník realizovat sám pomocí běžného pinu a externího RC filtru. Xilinx poskytuje různé funkce (jádra - cores) ke stažení a použití. Jedna z nich je i DA převodník [21]. Bohužel není možné tuto funkci využit na neplacené verzi ISE Webpacku. Je možné realizovat primitivní převodník vlastní konstrukce na principu jednoduchého pulzně šířkového modulátoru a externího RC filtru. Řídí se střída obdélníkového signálu. Přesné časování je používáno už v blocích CC. Daly by se tedy použít již některé navrhnuté struktury

40 7 Závěr Zadané cíle se podařilo splnit jen částečně. V práci byla rozebrána problematika syntézy zvuku. Zjištěné poznatky se podařilo ověřit simulací v systému matlab a vyvodit následující závěry. Výsledný tón, získaný aditivní syntézou v systému matlab, se vzdáleně přiblížil tónu klavíru. V žádném případě ale nepostihoval dynamiku originálu. Tón zněl příliš synteticky. Pro další zlepšení vlastností generovaného tónu by bylo nutno udělat mnohem detailnější analýzu zvuku klavíru a navrhnout patřičný obvod. Vzhledem k tomu že již obvod schématu z obr. 18 dosahuje značné složitosti, je tento přístup k řešení syntetizátoru příliš náročný a neefektivní. Jako efektivnější se tedy jevila cesta práce se záznamem zvuku uloženým v paměti typu SD Card. V práci je ukázán a vysvětlen jeden z možných způsobů, kterým je možné tento druh syntetizátoru realizovat. Použitá metoda vycházela z techniky transpozice tónu. Tato technika využívá specifických frekvenčních vlastnosti tónů. Bohužel se nepodařilo dokončit včas celý návrh v jazyku VHDL do konce. Práce se ukázala podstatně složitější, než jsem předpokládal, nebo jak by se na první pohled mohlo zdát

41 8 Seznam použitých zdrojů NOVOTNÝ, R. Spolehlivost a diagnostika. Brno: Vysoké učení technické v Brně, stran. ISBN [1] PINKER, J.; POUPA, M. Číslicové systémy a jazyk VHDL. 1.vyd. Praha: BEN technická literatura, s. ISBN [2] KARBAN, P. Výpočty a simulace v programech Matlab a Simulink. 1.vyd. Brno: Computer Press, s. ISBN [3] ZAPLATÍLEK, K.; DOŇAR, B. Matlab - začínáme se signály. 1.vyd. Praha: BEN technická literatura, s. ISBN [4] MIRANDA, E. Computer Sound Design: Synthesis Techniques and Programming. Second edition. Oxford: Focal Press, s. ISBN [5] RUSS, M. Sound Synthesis and Sampling. Second edition.oxford: Focal Press, s. ISBN [6] WIKIPEDIA. Sound. [cit ]. Dostupné z WWW: [7] WIKIPEDIA. Zvukové vlnění. [cit ]. Dostupné z WWW: [8] WIKIPEDIA. Ladění. [cit ]. Dostupné z WWW: [9] WIKIPEDIA. Equal temperament. [cit ]. Dostupné z WWW: [10] WIKIPEDIA. Alikvotní tón. [cit ]. Dostupné z WWW: [11] WIKIPEDIA. Piano. [cit ]. Dostupné z WWW: [12] FLETCHER, N.; ROSSING, T. The physics of musical instruments. 2.vyd. Springer, s. ISBN [13] Tipper, J.; Koňas, P. Model rezonanční desky koncertního klavíru. [ ]. Dostupné z WWW: [14] BBC. Soul Deep episode 6. [cit ]. Dostupné z WWW: [15] WIKIPEDIA. Moog synthesizer. [cit ]. Dostupné z WWW: [16] WOOD, S. Objective test methods for waveguide audio synthesis. [cit ]. Dostupné z WWW: [17] TEOCHARISOVÁ, V. Sound Design III. [cit ]. Dostupné z WWW:

42 [18] XILINX. Spartan-3 Starter Kit Board User Guide. [cit ]. Dostupné z WWW: [19] WIKIPEDIA. Rovnoměrně temperované ladění. [cit ]. Dostupné z WWW: [20] WIKIPEDIA. Piano key frequencies. [cit ]. Dostupné z WWW: [21] XILINX. OPB deltasigma DAC. [cit ]. Dostupné z WWW:

43 9 Seznam obrázků Obr. 1: Piáno Obr. 2: Syntetizátor Mogg (1964) Obr. 3: VL Obr. 4: Sampler AKAI MPC Obr. 5: Spartan-3 FPGA Starter Kit Obr. 6: Klaviatura Obr. 7: Amplituda tónu C Obr. 8: FFT, první sekunda znění tónu C Obr. 9: FFT, druhá sekunda znění tónu C Obr. 10: FFT, třetí sekunda znění tónu C Obr. 11: FFT, čtvrtá sekunda znění tónu C Obr. 12: Schéma, harmonický průběh Obr. 13: Výstupní signál Obr. 14: Schéma zapojení Obr. 15: Amplitudová obálka Obr. 16: Schéma zapojení Obr. 17: Výstupní signál Obr. 18: Schéma zapojení Obr. 19: Amplitudová obálka Obr. 20: Časový průběh v t=0,5s Obr. 21: Časový průběh v t=3s Obr. 22: Blokové schéma syntetizátoru s pamětí typu FLASH Obr. 23: Uživatelské rozhraní syntetizátoru v Simulinku Obr. 24: Výstupní signál, přehrává se celá oktáva najednou Obr. 25: Výstupní signál, jednotlivé tóny následují po sobě po 0,1s Obr. 26: Výstupní signál, jednotlivé tóny následují po sobě po 0,5s Obr. 27: Vývojový diagram Obr. 28: Blokové schéma syntetizátoru Obr. 29: Blokové schéma řízení

44 Obr. 30: Schéma řídícího bloku Obr. 31: Behaviorální simulace řídícího bloku Obr. 32: Podobvod řízení CC Obr. 33: Detail jednoho z bloků CC syntetizátoru Obr. 34: Schéma bloku CC Obr. 35: RTS schéma bloku CC Obr. 36: RTS časovač Obr. 37: RTS komparátor Obr. 38: RTS čítač Obr. 39: Behaviorální simulace bloku CC Obr. 40: SD Card

45 10 Přílohy 10.1 Syntetizátor v prostředí Simulink Hlavní funkce function y = Piano( c0, d1, e2, f3, g4, a5, h6, c7) y=0; %definice funkce eml.extrinsic ('WAV'); %volani externi matlabovske funkce wav y=wav(c0, d1, e2, f3, g4, a5, h6, c7); %predani parametru zdroju end Funkce WAV % by Tomáš Němec function [vraci]=wav(c0, d1, e2, f3, g4, a5, h6, c7) vraci=0; fs=22050; data=zeros(220500,1); %vzorkovací kmitočet % prázdný vektor výstupních dat, 10s %nulta nota 0c********************************************************** if c0>=0 %podmínka přehrátí vzorku file=dir('0c.wav'); %název samplu for i=1:length(file); s_c0=wavread(file(i).name); %načtení samplu end d_c0=length(s_c0); poc_c0=c0*22050; %počet vzorku sammplu c0 %počátek při fs=

46 for n=1:d_c0; %nakopíruje sampl do výstupních dat data(n+poc_c0,1)=data(n+poc_c0,1)+s_c0(n,1); end end %prvni nota 1d********************************************************** if d1>=0 file=dir('1d.wav'); for i=1:length(file); s_d1=wavread(file(i).name); end d_d1=length(s_d1); poc_d1=d1*22050; %pocet vzorku d1 %pocatek při fs=22050 for n=1:d_d1; data(n+poc_d1,1)=data(n+poc_d1,1)+s_d1(n,1); end end %druha nota 2e********************************************************** if e2>=0 file=dir('2e.wav'); for i=1:length(file); s_e2=wavread(file(i).name); end d_e2=length(s_e2); poc_e2=e2*22050; %pocet vzorku e2 %pocatek při fs=22050 for n=1:d_e2; data(n+poc_e2,1)=data(n+poc_e2,1)+s_e2(n,1); end end %třetí nota 3f********************************************************** if f3>=0 file=dir('3f.wav'); for i=1:length(file); s_f3=wavread(file(i).name); end d_f3=length(s_f3); poc_f3=f3*22050; %pocet vzorku f3 %pocatek při fs=22050 for n=1:d_f3; data(n+poc_f3,1)=data(n+poc_f3,1)+s_f3(n,1); end

47 end %čtvrtá nota 4g********************************************************** if g4>=0 file=dir('4g.wav'); for i=1:length(file); s_g4=wavread(file(i).name); end d_g4=length(s_g4); poc_g4=g4*22050; %pocet vzorku g4 %pocatek při fs=22050 for n=1:d_g4; data(n+poc_g4,1)=data(n+poc_g4,1)+s_g4(n,1); end end %pátá nota 5a********************************************************** if a5>=0 file=dir('5a.wav'); for i=1:length(file); s_a5=wavread(file(i).name); end d_a5=length(s_a5); poc_a5=a5*22050; %pocet vzorku a5 %pocatek při fs=22050 for n=1:d_a5; data(n+poc_a5,1)=data(n+poc_a5,1)+s_a5(n,1); end end %sestá nota 6h********************************************************** if h6>=0 file=dir('6h.wav'); for i=1:length(file); s_h6=wavread(file(i).name); end d_h6=length(s_h6); poc_h6=h6*22050; %pocet vzorku h6 %pocatek při fs=22050 for n=1:d_h6; data(n+poc_h6,1)=data(n+poc_h6,1)+s_h6(n,1); end end %sedma nota 7c****** posledni :-D ******************************** if c7>=0-51 -

48 file=dir('7c.wav'); for i=1:length(file); s_c7=wavread(file(i).name); end d_c7=length(s_c7); poc_c7=c7*22050; %pocet vzorku c7 %pocatek při fs=22050 for n=1:d_c7; data(n+poc_c7,1)=data(n+poc_c7,1)+s_c7(n,1); end end sound(data, fs); vraci=1; end %přehraje výstupní vektor data!!!!!!!!!!!!!!!!!!! 10.2 Syntetizátor ve VHDL Blok CC časovač Zkráceno library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; --EEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEE entity casovac is generic (n : positive := 16); Port ( clk : in STD_LOGIC; res1, res2 : in STD_LOGIC; cas : out STD_LOGIC_VECTOR (n-1 downto 0)); end casovac; --EEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEE --AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA architecture Behavioral of casovac is -- std_logic res : std_logic; begin -- 1 asynchronni reset res := res1 or res2; process (res1, res2, clk) variable cnt : unsigned (n - 1 downto 0); begin if res1 = '1' or res2 = '1' then cnt := (others => '0'); -- 2 synchronni citac

49 elsif clk'event and clk = '1' then cnt := cnt + 1; else cnt := cnt; end if; -- 3 vystup cas <= std_logic_vector (cnt); end process; end Behavioral; --AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA Blok CC - komparátor Zkráceno library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; --EEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEE entity komparator is Port ( nastav, vstup : in STD_LOGIC_VECTOR (15 downto 0); start, stop, clk : in STD_LOGIC; eq_gt : out STD_LOGIC); end komparator; --EEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEE --AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA architecture Behavioral of komparator is signal pamet : std_logic_vector(15 downto 0); signal eq, ggt : std_logic; begin --1 záchytný registr D nastavení komparátoru process(clk, start, nastav) begin if clk = '1' and clk'event then if start = '1' then pamet <= nastav; end if; end if; end process; --1záchytný registr D nastavení komparátoru komprátor eq <= '1' when vstup = pamet else '0'; ggt <= '1' when vstup > pamet else '0'; eq_gt <= (eq or ggt) when stop='0' else '0'; --2 komprátor end Behavioral; --AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA

50 Blok CC - čítač Zkráceno library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; --EEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEE entity citac is Port ( vstup : in STD_LOGIC; res : in STD_LOGIC; --vodič start konec, konec2 : out STD_LOGIC; -- vodič stop vektor : out STD_LOGIC_VECTOR (15 downto 0)); end citac; --/EEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEE --AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA architecture Behavioral of citac is signal pomoc : std_logic_vector (15 downto 0); begin -- čítač process (res, vstup) variable cnt : std_logic_vector (15 downto 0); variable kekonci : std_logic; begin asynchronní reset if res = '1' then kekonci := '0'; end if; if res = '1' then cnt := (" "); synchronní čítač elsif vstup'event and vstup = '1' then cnt := cnt + 1; else cnt := cnt; end if; --konec wav if res = '1' then kekonci := '0'; elsif cnt >= " " then --délka wav (zatím jen 4) kekonci := '1'; else kekonci := kekonci; --konec je klopný obvod D end if; --indikuje konec dokud nedojde k resetu if kekonci = '0' then vektor <= cnt; else vektor <= " "; end if;

51 konec <= kekonci; konec2 <= kekonci; end process; end Behavioral; --/AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA Řídící blok nastavení Zkráceno library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; ---- Uncomment the following library declaration if instantiating ---- any Xilinx primitives in this code. --library UNISIM; --use UNISIM.VComponents.all; --EEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEE entity nastav is Port ( klaves : in STD_LOGIC_VECTOR (3 downto 0); nastcas : out STD_LOGIC_VECTOR (15 downto 0); znameni : out STD_LOGIC); end nastav; --//EEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEE --AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA architecture Behavioral of nastav is begin process (klaves) variable pomoc: std_logic_vector (15 downto 0); begin case klaves is when "0001" => pomoc := " "; --tón C4 when "0010" => pomoc := " "; --tón C?4/D?4 when "0011" => pomoc := " "; --tón D4 when "0100" => pomoc := " "; --tón d?'/e?' when "0101" => pomoc := " "; --tón E4 when "0110" => pomoc := " "; --tón F4 when "0111" => pomoc := " "; --tón F?4/G?4 when "1000" => pomoc := " "; --tón G4 when "1001" => pomoc := " "; --tón G?4/A?4 when "1010" => pomoc := " "; --tón A4 when "1011" => pomoc := " "; --tón A?4/B?4 when "1100" => pomoc := " "; --tón B4 when others => pomoc := " "; end case; nastcas <= pomoc; if klaves /= "0000" then znameni <= '1'; else znameni <= '0'; end if;

52 end process; end Behavioral; Řídící blok řízení Zkráceno library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; ---- Uncomment the following library declaration if instantiating ---- any Xilinx primitives in this code. --library UNISIM; --use UNISIM.VComponents.all; --EEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEE entity rizenicc3 is Port ( stop : in STD_LOGIC_VECTOR (3 downto 0); start : out STD_LOGIC_VECTOR (3 downto 0); stavout: out STD_LOGIC_VECTOR (3 downto 0); --sim err : out STD_LOGIC; clk, gres : in STD_LOGIC; znameni : in STD_LOGIC ); end rizenicc3; --EEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEE --AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA architecture Behavioral of rizenicc3 is signal startx : STD_LOGIC_VECTOR (3 downto 0); signal stav : STD_LOGIC_VECTOR (3 downto 0); begin start <= startx; stavout <= stav; -- řízení CC process(clk, gres, stav, znameni) variable pomoc : STD_LOGIC_VECTOR (3 downto 0); --zastupuje start (potažmo starx) begin if gres = '1' then pomoc := "0000"; end if; if gres = '1' then err <= '0'; end if; -- reset po zapnutí GLOBAL reset -- reset po zapnutí GLOBAL reset if clk = '1' and clk'event then pomoc := "0000"; end if; if znameni = '1' and znameni'event then if stav(0) = '0' then pomoc(0):= '1'; elsif stav(1) = '0' then pomoc(1) := '1'; elsif stav(2) = '0' then pomoc(2) := '1';

53 end if; elsif stav(3) = '0' then pomoc(3) := '1'; else err <= '1'; end if; startx <= pomoc; end process; --1 paměť stavů bloků CC process(clk, stop,startx, gres) variable pamet : std_logic_vector(3 downto 0); begin --asynchronní reset paměti if gres = '1' then pamet := "0000"; end if; -- paměť stavu bloku CC if clk = '1' and clk'event then if stop(0) = '1' then pamet(0) := '0'; --blok CC0 se uvolnil elsif startx(0) = '1' then pamet(0) := '1'; --blok CC0 začal pracovat end if; end if; -- paměť stavu bloku CC if clk = '1' and clk'event then if stop(1) = '1' then pamet(1) := '0'; --blok CC1 se uvolnil elsif startx(1) = '1' then pamet(1) := '1'; --blok CC1 začal pracovat end if; end if; -- paměť stavu bloku CC if clk = '1' and clk'event then if stop(2) = '1' then pamet(2) := '0'; --blok CC2 se uvolnil elsif startx(2) = '1' then pamet(2) := '1'; --blok CC2 začal pracovat end if; end if; -- paměť stavu bloku CC if clk = '1' and clk'event then if stop(3) = '1' then pamet(3) := '0'; --blok CC0 se uvolnil elsif startx(3) = '1' then pamet(3) := '1'; --blok CC3 začal pracovat end if; end if; stav <= pamet; end process; end Behavioral; --AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA

Příklady popisu základních obvodů ve VHDL

Příklady popisu základních obvodů ve VHDL Příklady popisu základních obvodů ve VHDL INP - cvičení 2 Michal Bidlo, 2008 bidlom@fit.vutbr.cz entity Circuit is port ( -- rozhraní obvodu ); end Circuit; Proces architecture Behavioral of Circuit is

Více

Koncept pokročilého návrhu ve VHDL. INP - cvičení 2

Koncept pokročilého návrhu ve VHDL. INP - cvičení 2 Koncept pokročilého návrhu ve VHDL INP - cvičení 2 architecture behv of Cnt is process (CLK,RST,CE) variable value: std_logic_vector(3 downto 0 if (RST = '1') then value := (others => '0' elsif (CLK'event

Více

Digitální obvody. Doc. Ing. Lukáš Fujcik, Ph.D.

Digitální obvody. Doc. Ing. Lukáš Fujcik, Ph.D. Digitální obvody Doc. Ing. Lukáš Fujcik, Ph.D. Stavové automaty enkódování Proces, který rozhoduje kolik paměťových prvků bude využito v paměťové části. Binární enkódování je nejpoužívanější. j počet stavů

Více

Digitální obvody. Doc. Ing. Lukáš Fujcik, Ph.D.

Digitální obvody. Doc. Ing. Lukáš Fujcik, Ph.D. Digitální obvody Doc. Ing. Lukáš Fujcik, Ph.D. Klopné obvody jsou nejjednodušší sekvenční součástky Záleží na předcházejícím stavu Asynchronní klopné obvody reagují na změny vstupu okamžitě Synchronní

Více

1. Seznamte se s výukovou platformou FITkit (http://merlin.fit.vutbr.cz/fitkit/).

1. Seznamte se s výukovou platformou FITkit (http://merlin.fit.vutbr.cz/fitkit/). Zadání: Fakulta informačních technologií VUT v Brně Ústav počítačových systémů Technika personálních počítačů, cvičení ITP FITkit Řízení 7mi-segmentového displeje Úloha č. 3. 1. Seznamte se s výukovou

Více

Návrh ovládání zdroje ATX

Návrh ovládání zdroje ATX Návrh ovládání zdroje ATX Zapínání a vypínání PC zdroj ATX se zapíná spojením řídicího signálu \PS_ON se zemí zapnutí PC stiskem tlačítka POWER vypnutí PC (hardwarové) stiskem tlačítka POWER a jeho podržením

Více

Návrh. číslicových obvodů

Návrh. číslicových obvodů Návrh číslicových obvodů SW Aritmetika HW Periférie CPU function AddSub(a,b,s); var c; a b k k a+b mpx c if (s==1) c=a+b; else c=a-b; a-b return c; End; PAMĚŤ s Princip: univerzální stroj Výhoda: univerzalita

Více

Násobičky, Boothovo překódování. Demonstrační cvičení 7

Násobičky, Boothovo překódování. Demonstrační cvičení 7 Násobičky, Boothovo překódování INP Demonstrační cvičení 7 Obsah Princip násobení Sekvenční a kombinační násobička Kombinační násobičky ve VHDL Násobení se znaménkem (FX) Boothovo překódování, VHDL Násobení

Více

Řadiče periferií pro vývojovou desku Spartan3E Starter Kit Jaroslav Stejskal, Jiří Svozil, Leoš Kafka, Jiří Kadlec. leos.kafka@utia.cas.

Řadiče periferií pro vývojovou desku Spartan3E Starter Kit Jaroslav Stejskal, Jiří Svozil, Leoš Kafka, Jiří Kadlec. leos.kafka@utia.cas. Technická zpráva Řadiče periferií pro vývojovou desku Spartan3E Starter Kit Jaroslav Stejskal, Jiří Svozil, Leoš Kafka, Jiří Kadlec leos.kafka@utia.cas.cz Obsah 1. Úvod... 2 2. Popis modulů... 2 2.1 LCD...

Více

Digitální obvody. Doc. Ing. Lukáš Fujcik, Ph.D.

Digitální obvody. Doc. Ing. Lukáš Fujcik, Ph.D. Digitální obvody Doc. Ing. Lukáš Fujcik, Ph.D. Realizace kombinačních logických funkcí Realizace kombinační logické funkce = sestavení zapojení obvodu, který ze vstupních proměnných vytvoří výstupní proměnné

Více

Vzorový příklad. Postup v prostředí ISE. Zadání: x 1 x 0 y. Rovnicí y = x 1. x 0. Přiřazení signálů: ČESKÉ VYSOKÉ UČENÍ TECHNICKÉ V PRAZE

Vzorový příklad. Postup v prostředí ISE. Zadání: x 1 x 0 y. Rovnicí y = x 1. x 0. Přiřazení signálů: ČESKÉ VYSOKÉ UČENÍ TECHNICKÉ V PRAZE Vzorový příklad. Zadání: Na přípravku realizujte kombinační obvod představující funkci logického součinu dvou vstupů. Mající následující pravdivostní tabulku. x 1 x 0 y 0 0 0 0 1 0 1 0 0 1 1 1 Rovnicí

Více

Vzorový příklad. Postup v prostředí ISE. Zadání: x 1 x 0 y Rovnicí y = x 1. Přiřazení signálů:

Vzorový příklad. Postup v prostředí ISE. Zadání: x 1 x 0 y Rovnicí y = x 1. Přiřazení signálů: Vzorový příklad. Zadání: Na přípravku realizujte kombinační obvod představující funkci logického součinu dvou vstupů. Mající následující pravdivostní tabulku. x 1 x 0 y 0 0 0 0 1 0 1 0 0 1 1 1 Rovnicí

Více

Cíle. Teoretický úvod

Cíle. Teoretický úvod Předmět Ú Úloha č. 7 BIO - igitální obvody Ú mikroelektroniky Sekvenční logika návrh asynchronních a synchronních binárních čítačů, výhody a nevýhody, využití Student Cíle Funkce čítačů a použití v digitálních

Více

PROCESOR. Typy procesorů

PROCESOR. Typy procesorů PROCESOR Procesor je ústřední výkonnou jednotkou počítače, která čte z paměti instrukce a na jejich základě vykonává program. Primárním úkolem procesoru je řídit činnost ostatních částí počítače včetně

Více

PK Design. MB-S2-150-PQ208 v1.4. Základová deska modulárního vývojového systému MVS. Verze dokumentu 1.0 (11. 6. 03)

PK Design. MB-S2-150-PQ208 v1.4. Základová deska modulárního vývojového systému MVS. Verze dokumentu 1.0 (11. 6. 03) MB-S2-150-PQ208 v1.4 Základová deska modulárního vývojového systému MVS Uživatelský manuál Verze dokumentu 1.0 (11. 6. 03) Obsah 1 Upozornění...3 2 Úvod...4 2.1 Vlastnosti základové desky...4 2.2 Vlastnosti

Více

ZDROJE MĚŘÍCÍHO SIGNÁLU MĚŘÍCÍ GENERÁTORY

ZDROJE MĚŘÍCÍHO SIGNÁLU MĚŘÍCÍ GENERÁTORY INOVACE ODBORNÉHO VZDĚLÁVÁNÍ NA STŘEDNÍCH ŠKOLÁCH ZAMĚŘENÉ NA VYUŽÍVÁNÍ ENERGETICKÝCH ZDROJŮ PRO 21. STOLETÍ A NA JEJICH DOPAD NA ŽIVOTNÍ PROSTŘEDÍ CZ.1.07/1.1.00/08.0010 ZDROJE MĚŘÍCÍHO SIGNÁLU MĚŘÍCÍ

Více

Alfanumerické displeje

Alfanumerické displeje Alfanumerické displeje Alfanumerické displeje jsou schopné zobrazovat pouze alfanumerické údaje (tj. písmena, číslice) a případně jednoduché grafické symboly definované v základním rastru znaků. Výhoda

Více

Multimediální systémy. 08 Zvuk

Multimediální systémy. 08 Zvuk Multimediální systémy 08 Zvuk Michal Kačmařík Institut geoinformatiky, VŠB-TUO Osnova přednášky Zvuk fyzikální podstata a vlastnosti Digitální zvuk Komprese, kodeky, formáty Zvuk v MMS Přítomnost zvuku

Více

Vzorkování. Je-li posloupnost diracových impulzů s periodou T S : Pak časová posloupnost diskrétních vzorků bude:

Vzorkování. Je-li posloupnost diracových impulzů s periodou T S : Pak časová posloupnost diskrétních vzorků bude: Vzorkování Vzorkování je převodem spojitého signálu na diskrétní. Lze si ho představit jako násobení sledu diracových impulzů (impulzů jednotkové plochy a nulové délky) časovým průběhem vzorkovaného signálu.

Více

Digitální obvody. Doc. Ing. Lukáš Fujcik, Ph.D.

Digitální obvody. Doc. Ing. Lukáš Fujcik, Ph.D. Digitální obvody Doc. Ing. Lukáš Fujcik, Ph.D. Synchronní 3-bitový čítač s KO D, asyn. RST a výstupem MAX Vlastnosti: ) Čítač inkrementuje svůj výstup o 2) Změna výstupu nastává vždy při změně náběžné

Více

Úvod do jazyka VHDL. Jan Kořenek korenek@fit.vutbr.cz. Návrh číslicových systémů 2007-2008

Úvod do jazyka VHDL. Jan Kořenek korenek@fit.vutbr.cz. Návrh číslicových systémů 2007-2008 Úvod do jazyka VHDL Návrh číslicových systémů 2007-2008 Jan Kořenek korenek@fit.vutbr.cz Jak popsat číslicový obvod Slovně Navrhněte (číslicový) obvod, který spočte sumu všech členů dané posloupnosti slovní

Více

Číslicové obvody a jazyk VHDL

Číslicové obvody a jazyk VHDL Číslicové obvody a jazyk VHDL Návrh počítačových systémů 2007-2008 Jan Kořenek korenek@fit.vutbr.cz Proč HW realizace algoritmu Vyšší rychlost paralelní nebo zřetězené zpracování, přizpůsobení výpočetních

Více

Digitální paměťový osciloskop (DSO)

Digitální paměťový osciloskop (DSO) http://www.coptkm.cz/ Digitální paměťový osciloskop (DSO) Obr. 1 Blokové schéma DSO Konstrukce U digitálního paměťového osciloskopu je obrazovka čistě indikační zařízení. Vlastní měřicí přístroj je rychlý

Více

Měřič krevního tlaku. 1 Měření krevního tlaku. 1.1 Princip oscilometrické metody 2007/19 30.5.2007

Měřič krevního tlaku. 1 Měření krevního tlaku. 1.1 Princip oscilometrické metody 2007/19 30.5.2007 Měřič krevního tlaku Ing. Martin Švrček martin.svrcek@phd.feec.vutbr.cz Ústav biomedicínckého inženýrství Fakulta elektrotechniky a komunikačních technologií VUT v Brně Kolejní 4, 61200 Brno Tento článek

Více

Digitální obvody. Doc. Ing. Lukáš Fujcik, Ph.D.

Digitální obvody. Doc. Ing. Lukáš Fujcik, Ph.D. Digitální obvody Doc. Ing. Lukáš Fujcik, Ph.D. Základní invertor v technologii CMOS dva tranzistory: T1 vodivostní kanál typ N T2 vodivostní kanál typ P při u VST = H nebo L je klidový proud velmi malý

Více

Pokročilé využití jazyka VHDL. Pavel Lafata

Pokročilé využití jazyka VHDL. Pavel Lafata Pokročilé využití jazyka VHDL Pavel Lafata Autor: Pavel Lafata Název díla: Pokročilé využití jazyka VHDL Zpracoval(a): České vysoké učení technické v Praze Fakulta elektrotechnická Kontaktní adresa: Technická

Více

Sčítačky Válcový posouvač. Demonstrační cvičení 6

Sčítačky Válcový posouvač. Demonstrační cvičení 6 Sčítačky Válcový posouvač INP Demonstrační cvičení 6 Poloviční sčítačka (Half Adder) A B S C 0 0 0 0 0 1 1 0 1 0 1 0 1 1 0 1 A B HA S C S: A C: A 0 1 0 0 1 0 B 0 1 B S

Více

12. VHDL pro verifikaci - Testbench I

12. VHDL pro verifikaci - Testbench I Evropský sociální fond Praha & EU: Investujeme do vaší budoucnosti 12. VHDL pro verifikaci - Testbench I Praktika návrhu číslicových obvodů Dr.-Ing. Martin Novotný Katedra číslicového návrhu Fakulta informačních

Více

FLOOR TALKER. Uživatelský návod verze 1.0. TELSYCO s.r.o. Prostřední 627/14 141 00 Praha 4. www.telsyco.cz

FLOOR TALKER. Uživatelský návod verze 1.0. TELSYCO s.r.o. Prostřední 627/14 141 00 Praha 4. www.telsyco.cz FLOOR TALKER Uživatelský návod verze 1.0 TELSYCO s.r.o. Prostřední 627/14 141 00 Praha 4 e-mail: info@telsyco.cz Tel.: +420 272 103 217 Fax: +420 244 460 394 www.telsyco.cz Obsah 1. Úvod... 3 2. Základní

Více

Měření kmitočtu a tvaru signálů pomocí osciloskopu

Měření kmitočtu a tvaru signálů pomocí osciloskopu Měření kmitočtu a tvaru signálů pomocí osciloskopu Osciloskop nebo také řidčeji oscilograf zobrazuje na stínítku obrazovky nebo LC displeji průběhy připojených elektrických signálů. Speciální konfigurace

Více

LED_007.c Strana: 1/5 C:\Michal\AVR\Výukové programy\archiv\ Poslední změna: 4.10.2011 8:01:48

LED_007.c Strana: 1/5 C:\Michal\AVR\Výukové programy\archiv\ Poslední změna: 4.10.2011 8:01:48 LED_007.c Strana: 1/5 Nyní již umíme používat příkazy k větvení programu (podmínky) "if" a "switch". Umíme také rozložit program na jednoduché funkce a používat cyklus "for". Co se týče cyklů, zbývá nám

Více

Direct Digital Synthesis (DDS)

Direct Digital Synthesis (DDS) ČESKÉ VYSOKÉ UČENÍ TECHNICKÉ V PRAZE Fakulta elektrotechnická Ing. Radek Sedláček, Ph.D., katedra měření K13138 Direct Digital Synthesis (DDS) Přímá číslicová syntéza Tyto materiály vznikly za podpory

Více

Implementace čítačů v číslicových systémech 2 Jakub Šťastný ASICentrum, s.r.o. FPGA Laboratoř, Katedra teorie obvodů FEL ČVUT Praha

Implementace čítačů v číslicových systémech 2 Jakub Šťastný ASICentrum, s.r.o. FPGA Laboratoř, Katedra teorie obvodů FEL ČVUT Praha Tento článek je původním rukopisem textu publikovaného v časopise DPS Elektronika A-Z: J. Šťastný. Implementace čítačů v číslicových systémech 2, DPS Plošné spoje od A do Z, no 4, pp. 11-14, 2011. Bez

Více

Paměti EEPROM (1) Paměti EEPROM (2) Paměti Flash (1) Paměti EEPROM (3) Paměti Flash (2) Paměti Flash (3)

Paměti EEPROM (1) Paměti EEPROM (2) Paměti Flash (1) Paměti EEPROM (3) Paměti Flash (2) Paměti Flash (3) Paměti EEPROM (1) EEPROM Electrically EPROM Mají podobné chování jako paměti EPROM, tj. jedná se o statické, energeticky nezávislé paměti, které je možné naprogramovat a později z nich informace vymazat

Více

enos dat rnici inicializaci adresování adresu enosu zprávy start bit átek zprávy paritními bity Ukon ení zprávy stop bitu ijíma potvrzuje p

enos dat rnici inicializaci adresování adresu enosu zprávy start bit átek zprávy paritními bity Ukon ení zprávy stop bitu ijíma potvrzuje p Přenos dat Ing. Jiří Vlček Následující text je určen pro výuku předmětu Číslicová technika a doplňuje publikaci Moderní elektronika. Je vhodný i pro výuku předmětu Elektronická měření. Přenos digitálních

Více

Simulace číslicových obvodů (MI-SIM) zimní semestr 2010/2011

Simulace číslicových obvodů (MI-SIM) zimní semestr 2010/2011 Evropský sociální fond Praha & EU: Investujeme do vaší budoucnosti Simulace číslicových obvodů (MI-SIM) zimní semestr 2010/2011 Jiří Douša, katedra číslicového návrhu (K18103), České vysoké učení technické

Více

Maticová klávesnice. Projekt do předmětu Subsystémy PC. Brno, 2002-2003. Tomáš Kreuzwieser, Ondřej Kožín

Maticová klávesnice. Projekt do předmětu Subsystémy PC. Brno, 2002-2003. Tomáš Kreuzwieser, Ondřej Kožín Maticová klávesnice Projekt do předmětu Subsystémy PC Brno, 2002-2003 Tomáš Kreuzwieser, Ondřej Kožín Obsah Úvod............................................ 1 1. Hardware........................................

Více

7. ODE a SIMULINK. Nejprve velmi jednoduchý příklad s numerických řešením. Řešme rovnici

7. ODE a SIMULINK. Nejprve velmi jednoduchý příklad s numerických řešením. Řešme rovnici 7. ODE a SIMULINK Jednou z často používaných aplikací v Matlabu je modelování a simulace dynamických systémů. V zásadě můžeme postupovat buď klasicky inženýrsky (popíšeme systém diferenciálními rovnicemi

Více

AX-DG1000AF. UPOZORNĚNÍ popisuje podmínky nebo činnosti, které mohou způsobit zranění a smrt.

AX-DG1000AF. UPOZORNĚNÍ popisuje podmínky nebo činnosti, které mohou způsobit zranění a smrt. AX-DG1000AF 1. Návod k použití Před použitím zařízení si přečtěte celý návod k použití. Při používání zařízení uchovávejte návod v blízkosti zařízení, aby było možné jej použit v případě potřeby. Při přemísťování

Více

Elektronické sirény MAESTRO

Elektronické sirény MAESTRO Elektronické sirény MAESTRO Koncové prvky systému varování obyvatelstva Varovné signály při mimořádných událostech Reprodukce hlasových zpráv Ozvučení měst a obcí Základní charakteristika Technický popis

Více

Pracovní třídy zesilovačů

Pracovní třídy zesilovačů Pracovní třídy zesilovačů Tzv. pracovní třída zesilovače je určená polohou pracovního bodu P na převodní charakteristice dobou, po kterou zesilovacím prvkem protéká proud, vzhledem ke vstupnímu zesilovanému

Více

3. D/A a A/D převodníky

3. D/A a A/D převodníky 3. D/A a A/D převodníky 3.1 D/A převodníky Digitálně/analogové (D/A) převodníky slouží k převodu číslicově vyjádřené hodnoty (např. v úrovních TTL) ve dvojkové soustavě na hodnotu nějaké analogové veličiny.

Více

Souhrn Apendixu A doporučení VHDL

Souhrn Apendixu A doporučení VHDL Fakulta elektrotechniky a informatiky Univerzita Pardubice Souhrn Apendixu A doporučení VHDL Práce ke zkoušce z předmětu Programovatelné logické obvody Jméno: Jiří Paar Datum: 17. 2. 2010 Poznámka k jazyku

Více

Směrová nn ochrana MEg51. Uživatelské informace

Směrová nn ochrana MEg51. Uživatelské informace Směrová nn ochrana MEg51 Uživatelské informace MEgA Měřící Energetické Aparáty, a.s. 664 31 Česká 390 Česká republika Směrová nn ochrana MEg51 uživatelské informace Směrová nn ochrana MEg51 Charakteristika

Více

PŘÍTECH. Klarinet Vlastnosti zvuku

PŘÍTECH. Klarinet Vlastnosti zvuku PŘÍTECH Klarinet Vlastnosti zvuku Gymnázium Cheb Vojtěch Müller Nerudova 7 4.E 2014/2015 Čestné prohlášení Prohlašuji, že jsem tuto maturitní práci vypracoval samostatně, pod vedením Mgr. Vítězslava Kubína

Více

Cíle. Teoretický úvod. BDIO - Digitální obvody Ústav mikroelektroniky Základní logická hradla, Booleova algebra, De Morganovy zákony Student

Cíle. Teoretický úvod. BDIO - Digitální obvody Ústav mikroelektroniky Základní logická hradla, Booleova algebra, De Morganovy zákony Student Předmět Ústav Úloha č. DIO - Digitální obvody Ústav mikroelektroniky Základní logická hradla, ooleova algebra, De Morganovy zákony Student Cíle Porozumění základním logickým hradlům NND, NOR a dalším,

Více

2. Entity, Architecture, Process

2. Entity, Architecture, Process Evropský sociální fond Praha & EU: Investujeme do vaší budoucnosti Praktika návrhu číslicových obvodů Dr.-Ing. Martin Novotný Katedra číslicového návrhu Fakulta informačních technologií ČVUT v Praze Miloš

Více

L A B O R A T O R N Í C V I Č E N Í

L A B O R A T O R N Í C V I Č E N Í Univerzita Pardubice Ústav elektrotechniky a informatiky Pardubice, Studentská 95 L A B O R A T O R N Í C V I Č E N Í Příjmení Paar Číslo úlohy: 2 Jméno: Jiří Datum měření: 15. 5. 2007 Školní rok: 2006

Více

Elektronický psací stroj

Elektronický psací stroj Elektronický psací stroj Konstrukční změny u elektrického psacího stroje s kulovou hlavicí 1. typový koš je nahrazen kulovou hlavicí. pevný vozík s válcem 3. pohyblivá tisková jednotka 4. nylonová barvící

Více

Signálové a mezisystémové převodníky

Signálové a mezisystémové převodníky Signálové a mezisystémové převodníky Tyto převodníky slouží pro generování jednotného nebo unifikovaného signálu z přirozených signálů vznikajících v čidlech. Často jsou nazývány vysílači příslušné fyzikální

Více

Příručka. Bezpečné odpojení osových modulů MOVIAXIS Aplikace. Vydání 10/2006 11399562 / CS

Příručka. Bezpečné odpojení osových modulů MOVIAXIS Aplikace. Vydání 10/2006 11399562 / CS Převodové motory \ Průmyslové převodovky \ Elektronika pohonů \ Automatizace pohonů \ Služby Bezpečné odpojení osových modulů MOVIAXIS Aplikace Vydání 0/006 9956 / CS Příručka SEW-EURODRIVE Driving the

Více

VY_32_INOVACE_ENI_2.MA_04_Zesilovače a Oscilátory

VY_32_INOVACE_ENI_2.MA_04_Zesilovače a Oscilátory Číslo projektu Číslo materiálu CZ..07/.5.00/34.058 VY_3_INOVACE_ENI_.MA_04_Zesilovače a Oscilátory Název školy Střední odborná škola a Střední odborné učiliště, Dubno Autor Ing. Miroslav Krýdl Tematická

Více

LBC 3251/00 Aktivní reproduktor Line Array Intellivox 1b

LBC 3251/00 Aktivní reproduktor Line Array Intellivox 1b Konferenční systémy LBC 3251/ Aktivní reproduktor Line Array Intellivox 1b LBC 3251/ Aktivní reproduktor Line Array Intellivox 1b www.boschsecurity.cz u u u u u Aktivní reproduktory Proměnný elevační úhel

Více

Cíle. Teoretický úvod. BDIO - Digitální obvody Ústav mikroelektroniky Sekvenční logika - debouncer, čítače, měření doby stisknutí tlačítka Student

Cíle. Teoretický úvod. BDIO - Digitální obvody Ústav mikroelektroniky Sekvenční logika - debouncer, čítače, měření doby stisknutí tlačítka Student Předmět Ústav Úloha č. 9 BIO - igitální obvody Ústav mikroelektroniky Sekvenční logika - debouncer, čítače, měření doby stisknutí tlačítka Student Cíle Pochopení funkce obvodu pro odstranění zákmitů na

Více

Druhá generace palivoměru LUN 5275 pro letoun EV-55

Druhá generace palivoměru LUN 5275 pro letoun EV-55 Druhá generace palivoměru LUN 5275 pro letoun EV-55 The second generation of the fuel gauge measuring system LUN 5275 for the EV-55 airplane Ing. Martin Moštěk, Ph.D. MESIT přístroje spol. s r. o., email:

Více

Výklad učiva: Co je to počítač?

Výklad učiva: Co je to počítač? Výklad učiva: Co je to počítač? Počítač je v informatice elektronické zařízení a výpočetní technika, která zpracovává data pomocí předem vytvořeného programu. Současný počítač se skládá z hardware, které

Více

Digitalizace signálu (obraz, zvuk)

Digitalizace signálu (obraz, zvuk) Digitalizace signálu (obraz, zvuk) Základem pro digitalizaci obrazu je převod světla na elektrické veličiny. K převodu světla na elektrické veličiny slouží např. čip CCD. Zkratka CCD znamená Charged Coupled

Více

Laboratorní zdroj - 6. část

Laboratorní zdroj - 6. část Laboratorní zdroj - 6. část Publikované: 20.05.2016, Kategória: Silové časti www.svetelektro.com V tomto článku popíšu způsob, jak dojít k rovnicím (regresní funkce), které budou přepočítávat milivolty

Více

Zvuk a jeho vlastnosti

Zvuk a jeho vlastnosti PEF MZLU v Brně 9. října 2008 Zvuk obecně podélné (nebo příčné) mechanické vlnění v látkovém prostředí, které je schopno vyvolat v lidském uchu sluchový vjem. frekvence leží v rozsahu přibližně 20 Hz až

Více

... sekvenční výstupy. Obr. 1: Obecné schéma stavového automatu

... sekvenční výstupy. Obr. 1: Obecné schéma stavového automatu Předmět Ústav Úloha č. 10 BDIO - Digitální obvody Ústav mikroelektroniky Komplexní příklad - návrh řídicí logiky pro jednoduchý nápojový automat, kombinační + sekvenční logika (stavové automaty) Student

Více

1. ÚVOD 2. PROPUSTNÝ MĚNIČ 2009/12 17. 3. 2009

1. ÚVOD 2. PROPUSTNÝ MĚNIČ 2009/12 17. 3. 2009 009/ 7. 3. 009 PROPSTNÝ MĚNIČ S TRANFORMÁTOREM A ŘÍDICÍM OBVODEM TOPSWITCH Ing. Petr Kejík Ústav radioelektroniky Vysoké učení technické v Brně Email: xkejik00@stud.feec.vutbr.cz Článek se zabývá návrhem

Více

TECHNICKÁ UNIVERZITA V LIBERCI

TECHNICKÁ UNIVERZITA V LIBERCI TECHNICKÁ UNIVERZITA V LIBERCI Fakulta mechatroniky a mezioborových inženýrských studií Katedra elektrotechniky a elektromechanických systémů Ing. Pavel Rydlo KROKOVÉ MOTORY A JEJICH ŘÍZENÍ Studijní texty

Více

SPM SPECTRUM NOVÁ UNIKÁTNÍ METODA PRO DIAGNOSTIKU LOŽISEK

SPM SPECTRUM NOVÁ UNIKÁTNÍ METODA PRO DIAGNOSTIKU LOŽISEK SPM SPECTRUM NOVÁ UNIKÁTNÍ METODA PRO DIAGNOSTIKU LOŽISEK V této části prezentujeme výsledky použití metody SPM Spectrum (Shock Pulse Method Metoda rázových pulsů) jako metody pro monitorování stavu valivých

Více

Kompletní systémové požadavky, informace o kompatibilitě a registraci produktu, navštivte sekci podpory na webové stránce M-Audio: www.akaipro.com.

Kompletní systémové požadavky, informace o kompatibilitě a registraci produktu, navštivte sekci podpory na webové stránce M-Audio: www.akaipro.com. Uživatelský manuál Uživatelský manuál Úvod Načtěte virtuálně jakýkoliv sampl přes standardní SD kartu* a přiřaďte jej ke kterémukoliv z osmi podsvícených a na dynamiku a tlak citlivých padů MPX8. Je to

Více

6. Střídavý proud. 6. 1. Sinusových průběh

6. Střídavý proud. 6. 1. Sinusových průběh 6. Střídavý proud - je takový proud, který mění v čase svoji velikost a smysl. Nejsnáze řešitelný střídavý proud matematicky i graficky je sinusový střídavý proud, který vyplývá z konstrukce sinusovky.

Více

Architektura počítače

Architektura počítače Architektura počítače Výpočetní systém HIERARCHICKÁ STRUKTURA Úroveň aplikačních programů Úroveň obecných funkčních programů Úroveň vyšších programovacích jazyků a prostředí Úroveň základních programovacích

Více

VYUŽITÍ KNIHOVNY SWING PROGRAMOVACÍHO JAZYKU JAVA PŘI TVORBĚ UŽIVATELSKÉHO ROZHRANÍ SYSTÉMU "HOST PC - TARGET PC" PRO ŘÍZENÍ POLOVODIČOVÝCH MĚNIČŮ

VYUŽITÍ KNIHOVNY SWING PROGRAMOVACÍHO JAZYKU JAVA PŘI TVORBĚ UŽIVATELSKÉHO ROZHRANÍ SYSTÉMU HOST PC - TARGET PC PRO ŘÍZENÍ POLOVODIČOVÝCH MĚNIČŮ VYUŽITÍ KNIHOVNY SWING PROGRAMOVACÍHO JAZYKU JAVA PŘI TVORBĚ UŽIVATELSKÉHO ROZHRANÍ SYSTÉMU "HOST PC - TARGET PC" PRO ŘÍZENÍ POLOVODIČOVÝCH MĚNIČŮ Stanislav Flígl Katedra elektrických pohonů a trakce (K13114),

Více

PROGRAMOVATELNÁ LOGICKÁ POLE A JAZYKY HDL

PROGRAMOVATELNÁ LOGICKÁ POLE A JAZYKY HDL PROGRAMOVATELNÁ LOGICKÁ POLE A JAZYKY HDL Doc. Ing. Jaromír Kolouch, CSc. Ústav radioelektroniky FEKT VUT v Brně, Purkyňova 118, kolouch@feec.vutbr.cz Přednáška má přinést informaci o současném stavu v

Více

PK Design. MB-ATmega16/32 v2.0. Uživatelský manuál. Základová deska modulárního vývojového systému MVS. Verze dokumentu 1.0 (21.12.

PK Design. MB-ATmega16/32 v2.0. Uživatelský manuál. Základová deska modulárního vývojového systému MVS. Verze dokumentu 1.0 (21.12. MB-ATmega16/32 v2.0 Základová deska modulárního vývojového systému MVS Uživatelský manuál Verze dokumentu 1.0 (21.12.2004) Obsah 1 Upozornění... 3 2 Úvod... 4 2.1 Vlastnosti základové desky...4 2.2 Vlastnosti

Více

Osnova: 1. Klopné obvody 2. Univerzálníobvod 555 3. Oscilátory

Osnova: 1. Klopné obvody 2. Univerzálníobvod 555 3. Oscilátory K620ZENT Základy elektroniky Přednáška ř č. 6 Osnova: 1. Klopné obvody 2. Univerzálníobvod 555 3. Oscilátory Bistabilní klopný obvod Po připojení ke zdroji napájecího napětí se obvod ustálí tak, že jeden

Více

Jazyk VHDL konstanty, signály a proměnné. Jazyk VHDL paralelní a sekvenční doména. Kurz A0B38FPGA Aplikace hradlových polí

Jazyk VHDL konstanty, signály a proměnné. Jazyk VHDL paralelní a sekvenční doména. Kurz A0B38FPGA Aplikace hradlových polí ČESKÉ VYSOKÉ UČENÍ TECHNICKÉ V PRAZE Fakulta elektrotechnická Ing. Radek Sedláček, Ph.D., katedra měření K13138 Jazyk VHDL konstanty, signály a proměnné Jazyk VHDL paralelní a sekvenční doména Kurz A0B38FPGA

Více

GRAFICKÉ ROZHRANÍ V MATLABU PRO ŘÍZENÍ DIGITÁLNÍHO DETEKTORU PROSTŘEDNICTVÍM RS232 LINKY

GRAFICKÉ ROZHRANÍ V MATLABU PRO ŘÍZENÍ DIGITÁLNÍHO DETEKTORU PROSTŘEDNICTVÍM RS232 LINKY GRAFICKÉ ROZHRANÍ V MATLABU PRO ŘÍZENÍ DIGITÁLNÍHO DETEKTORU PROSTŘEDNICTVÍM RS232 LINKY Jiří Šebesta Ústav radioelektroniky, Fakulta elektroniky a komunikačních technologií Vysoké učení technické v Brně

Více

ŘÍZENÍ FYZIKÁLNÍHO PROCESU POČÍTAČEM

ŘÍZENÍ FYZIKÁLNÍHO PROCESU POČÍTAČEM VYSOKÁ ŠKOLA CHEMICKO-TECHNOLOGICKÁ V PRAZE FAKULTA CHEMICKO-INŽENÝRSKÁ Ústav počítačové a řídicí techniky MODULÁRNÍ LABORATOŘE ŘÍZENÍ FYZIKÁLNÍHO PROCESU POČÍTAČEM Programování systému PCT40 v Simulinku

Více

Osciloskopická měření

Osciloskopická měření Lubomír Slavík TECHNICKÁ UNIVERZITA V LIBERCI Fakulta mechatroniky, informatiky a mezioborových studií Materiál vznikl v rámci projektu ESF (CZ.1.07/2.2.00/07.0247), který je spolufinancován Evropským

Více

Číslicový otáčkoměr TD 5.1 AS

Číslicový otáčkoměr TD 5.1 AS Číslicový otáčkoměr TD 5.1 AS Zjednodušená verze otáčkoměru řady TD 5.1 bez seriové komunikace, která obsahuje hlídání protáčení a s možností nastavení 4 mezí pro sepnutí relé. Určení - číslicový otáčkoměr

Více

STAVEBNÍ NÁVODY 1 pro činnost v elektro a radio kroužcích a klubech

STAVEBNÍ NÁVODY 1 pro činnost v elektro a radio kroužcích a klubech STAVEBNÍ NÁVODY 1 pro činnost v elektro a radio kroužcích a klubech Nejjednodušší stavební návody Verze V.4, stav k 5. prosinci 2014. Byl upraven Stavební návod na Cvrčka. Víte o dalších zajímavých návodech?

Více

FunKey 61 Keyboard Uživatelský manuál

FunKey 61 Keyboard Uživatelský manuál Upozornění Před použitím čtěte pozorně Prosím všimněte si následujících základních pokynů týkajících se používání elektronických varhan, abyste se tak vyhnuli případnému poškození vašeho nástroje a mohli

Více

DIGITÁLNÍ MULTIMETR DUCA- LCD96

DIGITÁLNÍ MULTIMETR DUCA- LCD96 ELEKTROKOMPONENTY AZ spol. s r.o. Za Nádražím 2547 Tel.: +420 381 001 691 (Mob.: +420 774 425 961) provozovna Pražská 313 E-mail: Info@elektrokomponenty.cz 397 01 Písek Web: www.elektrokomponenty.cz DIGITÁLNÍ

Více

Zvuková karta. Zvuk a zvuková zařízení. Vývoj, typy, vlastnosti

Zvuková karta. Zvuk a zvuková zařízení. Vývoj, typy, vlastnosti Zvuk a zvuková zařízení. Vývoj, typy, vlastnosti Zvuková karta Počítač řady PC je ve své standardní konfiguraci vybaven malým reproduktorem označovaným jako PC speaker. Tento reproduktor je součástí skříně

Více

ASYNCHRONNÍ ČÍTAČE Použité zdroje:

ASYNCHRONNÍ ČÍTAČE Použité zdroje: ASYNCHRONNÍ ČÍTAČE Použité zdroje: Antošová, A., Davídek, V.: Číslicová technika, KOPP, České Budějovice 2007 http://www.edunet.souepl.cz www.sse-lipniknb.cz http://www.dmaster.wz.cz www.spszl.cz http://mikroelektro.utb.cz

Více

Univerzita Tomáše Bati ve Zlíně

Univerzita Tomáše Bati ve Zlíně Univerzita omáše Bati ve Zlíně LABORAORNÍ CVIČENÍ ELEKROECHNIKY A PRŮMYSLOVÉ ELEKRONIKY Název úlohy: Měření frekvence a fázového posuvu proměnných signálů Zpracovali: Petr Luzar, Josef Moravčík Skupina:

Více

DIGITÁLNÍ KOMUNIKACE S OPTICKÝMI VLÁKNY. Digitální signál bude rekonstruován přijímačem a přiváděn do audio zesilovače.

DIGITÁLNÍ KOMUNIKACE S OPTICKÝMI VLÁKNY. Digitální signál bude rekonstruován přijímačem a přiváděn do audio zesilovače. DIGITÁLNÍ KOMUNIKACE S OPTICKÝMI VLÁKNY 104-4R Pomocí stavebnice Optel sestavte optický systém, který umožní přenos zvuku. Systém bude vysílat audio informaci prostřednictvím optického kabelu jako sekvenci

Více

Úloha 9. Stavové automaty: grafická a textová forma stavového diagramu, příklad: detektory posloupností bitů.

Úloha 9. Stavové automaty: grafická a textová forma stavového diagramu, příklad: detektory posloupností bitů. Úloha 9. Stavové automaty: grafická a textová forma ového diagramu, příklad: detektory posloupností bitů. Zadání 1. Navrhněte detektor posloupnosti 1011 jako ový automat s klopnými obvody typu. 2. Navržený

Více

Cílem této kapitoly je seznámit s parametry a moduly stavebnice NXT. Obr. 1: Brick s moduly [3]

Cílem této kapitoly je seznámit s parametry a moduly stavebnice NXT. Obr. 1: Brick s moduly [3] 2. Popis robota NXT Cílem této kapitoly je seznámit s parametry a moduly stavebnice NXT. Klíčové pojmy: Stavebnice, moduly, CPU, firmware, komunikace, brick. Nejdůležitější součástkou stavebnice je kostka

Více

Představíme si funkci fyzické vrstvy. Standardy fyzické vrstvy, způsoby přenosu, způsoby kódování a veličiny popisující přenos dat.

Představíme si funkci fyzické vrstvy. Standardy fyzické vrstvy, způsoby přenosu, způsoby kódování a veličiny popisující přenos dat. 2. Fyzická vrstva Studijní cíl Představíme si funkci fyzické vrstvy. Standardy fyzické vrstvy, způsoby přenosu, způsoby kódování a veličiny popisující přenos dat. Doba nutná k nastudování 3 hodiny Fyzická

Více

9. Číslicové osciloskopy. 10. Metodika práce s osciloskopem

9. Číslicové osciloskopy. 10. Metodika práce s osciloskopem 9. Číslicové osciloskopy Hybridní osciloskop (kombiskop) blokové schéma, princip funkce Číslicový osciloskop (DSO) blokové schéma, princip funkce Vzorkování a rekonstrukce signálu Aliasing, možnost nesprávné

Více

Zpráva k semestrální práci

Zpráva k semestrální práci ČVUT FEL Zpráva k semestrální práci A2B31SMS Jan Vimr 2017/2018 1. Postup Zadáním semestrální práce byla syntéza libovolného hudebního nástroje pro skladbu: Let čmeláka Nikolaj Rimskij Korsakov, dále odevzdat

Více

V 70. letech výzkumy četnosti výskytu instrukcí ukázaly, že programátoři a

V 70. letech výzkumy četnosti výskytu instrukcí ukázaly, že programátoři a 1 Počítače CISC a RISC V dnešní době se ustálilo dělení počítačů do dvou základních kategorií podle typu použitého procesoru: CISC - počítač se složitým souborem instrukcí (Complex Instruction Set Computer)

Více

Praktické úlohy- 2.oblast zaměření

Praktické úlohy- 2.oblast zaměření Praktické úlohy- 2.oblast zaměření Realizace praktických úloh zaměřených na dovednosti v oblastech: Měření specializovanými přístroji, jejich obsluha a parametrizace; Diagnostika a specifikace závad, měření

Více

) informace o stavu řízené veličiny (předávaná řídícímu systému) - nahrazování člověka při řízení Příklad řízení CNC obráběcího stroje

) informace o stavu řízené veličiny (předávaná řídícímu systému) - nahrazování člověka při řízení Příklad řízení CNC obráběcího stroje zapis_rizeni_uvod - Strana 1 z 9 20. Úvod do řízení Řízení Zpětná vazba (angl. #1 je proces, kdy #2 část působí na základě vstupních informací a zpětné vazby na #3 část zařízení tak, aby se dosáhlo požadovaného

Více

LOGIC. Stavebnice PROMOS Line 2. Technický manuál

LOGIC. Stavebnice PROMOS Line 2. Technický manuál ELSO, Jaselská 177 28000 KOLÍN, Z tel/fax +420-321-727753 http://www.elsaco.cz mail: elsaco@elsaco.cz Stavebnice PROMOS Line 2 LOGI Technický manuál 17. 04. 2014 2005 sdružení ELSO Účelová publikace ELSO

Více

Zkouškové otázky z A7B31ELI

Zkouškové otázky z A7B31ELI Zkouškové otázky z A7B31ELI 1 V jakých jednotkách se vyjadřuje napětí - uveďte název a značku jednotky 2 V jakých jednotkách se vyjadřuje proud - uveďte název a značku jednotky 3 V jakých jednotkách se

Více

Zvukové jevy. Abychom slyšeli jakýkoli zvuk, musí být splněny tři základní podmínky: 1. musí existovat zdroj zvuku

Zvukové jevy. Abychom slyšeli jakýkoli zvuk, musí být splněny tři základní podmínky: 1. musí existovat zdroj zvuku Zvukové jevy Abychom slyšeli jakýkoli zvuk, musí být splněny tři základní podmínky: 1. musí existovat zdroj zvuku 2. musí existovat látkové prostředí, kterým se zvuk šíří - ve vakuu se zvuk nešíří! 3.

Více

Dvoukanálový monitor absolutního chvění MMS 6120

Dvoukanálový monitor absolutního chvění MMS 6120 Dvoukanálový monitor absolutního chvění MMS 6120 Součást systému MMS 6000 Vyměnitelný za provozu, redundantní napájení Určen pro provoz s elektrodynamickými snímači absolutního chvění epro PR 9266, PR

Více

Implementace čítačů v číslicových systémech Jakub Šťastný

Implementace čítačů v číslicových systémech Jakub Šťastný 1 Úvod Implementace čítačů v číslicových systémech Jakub Šťastný Čítač je fundamentálním obvodovým blokem nezbytným pro návrh většiny číslicových systémů. Blok čítače je v číslicových obvodech používán

Více

Netlumené kmitání tělesa zavěšeného na pružině

Netlumené kmitání tělesa zavěšeného na pružině Netlumené kmitání tělesa zavěšeného na pružině Kmitavý pohyb patří k relativně jednoduchým pohybům, které lze analyzovat s použitím jednoduchých fyzikálních zákonů a matematických vztahů. Zároveň je tento

Více

Laboratorní měření 1. Seznam použitých přístrojů. Popis měřicího přípravku

Laboratorní měření 1. Seznam použitých přístrojů. Popis měřicího přípravku Laboratorní měření 1 Seznam použitých přístrojů 1. Generátor funkcí 2. Analogový osciloskop 3. Měřící přípravek na RL ČVUT FEL, katedra Teorie obvodů Popis měřicího přípravku Přípravek umožňuje jednoduchá

Více

Příspěvek k počítačové simulaci elektronických obvodů

Příspěvek k počítačové simulaci elektronických obvodů Školská fyzika 2012/3 Experiment ve výuce fyziky Příspěvek k počítačové simulaci elektronických obvodů Petr Michalík 1, Fakulta pedagogická Západočeské univerzity v Plzni Článek uvádí na příkladech některá

Více

Fyzikální praktikum 1

Fyzikální praktikum 1 Fyzikální praktikum 1 FJFI ČVUT v Praze Úloha: #9 Základní experimenty akustiky Jméno: Ondřej Finke Datum měření: 3.11.014 Kruh: FE Skupina: 4 Klasifikace: 1. Pracovní úkoly (a) V domácí přípravě spočítejte,

Více

Použití programovatelného čítače 8253

Použití programovatelného čítače 8253 Použití programovatelného čítače 8253 Zadání 1) Připojte obvod programovatelný čítač- časovač 8253 k mikropočítači 89C52. Pro čtení bude obvod mapován do prostoru vnější programové (CODE) i datové (XDATA)

Více